DWロゴ icon CQ出版社のトップページへ戻る
EDA toolのページへ戻る

Windows対応

VHDLで学ぶCPLD学習セット


大変恐縮ですが,こちらの商品は品切れ絶版となりました

●解説書付属

 PLDの使用,VHDLによる論理設計がはじめての方にもスムースに体験できる詳しい解説書が付いています.
(トランジスタ技術2001年7月号〜2002年1月号の連載記事を加筆修正)

●32マクロセルのCPLDを搭載

 学習ボードにはAltera社のEPM7032S(44ピン)を搭載しています.CPLDによる実践的な論理回路設計をすぐに体験できます.

(汎用ロジックICで5〜数十個程度の回路が入ります)

●スイッチや7セグメントLEDを装備

 基本的な論理回路の動作を確認できるように,DIPスイッチやLED,7セグメントLEDを備えています.

●クロック発生器を装備

 PIC12C509Aを使ったクロック発生器を備えており,2Hz〜4kHzのクロックをPLDに入力できます.またスイッチによるマニュアル・クロック機能も備えており,動作を1ステップずつ確認できます.

●学習ボードのハードウェア構成も公開

 学習ボードの内部構成を知ることは,システム設計の知識を深める意味で重要です.本セットには,学習ボードの設計ドキュメントも付いています.

●ACアダプタを標準装備

 新たな電源の準備は不用です.パソコンとの接続に利用する市販のプリンタ・ケーブルを用意していただくだけでCPLDの書き込み〜学習を行うことができます.

●PLD開発ツールを標準添付

 CPLDの設計にはツールが必要です.本学習セットには,回路図エディタ,VHDL/Verilog入力,論理合成ツールとしてAltera社のPLD開発ツール“MAX+PLUSU Baseline”,“E+MAX”,“Leonardo Spectrum-Altera”を収録しています.ただし,実際の使用に当たってはインターネットによる簡単なライセンス申請が必要です.






●本製品の内容

EPM7032S搭載CPLDボード………1枚
(解説書)VHDLで学ぶCPLD設計事始め………1冊
開発ソフトウェア
ドキュメントCD-ROM
………1枚
ACアダプタ………1個
※注:この学習セットをお使いいただくためには,36ピンの汎用プリンタ・ケーブルが必要です. パソコン・ショップなどでお求めください.

●動作環境

本セットに収録したプログラムを動作させるには,下記に示す仕様を満たすパソコンが必要です.これらの環境は,ユーザ自身でご用意ください.

パソコンプリンタ・ポートを装備したPC/AT互換機
(増設したプリンタ・ポートやUSB-プリンタ・ポート変換アダプタでは動作しません)
OS Windows 98/98SE/Me
メモリ 64Mバイト以上
ディスク空き容量 200Mバイト以上



 これらの商品に関するご質問はこちらへ………


Copyright 1997-2024 CQ Publishing Co.,Ltd.